高级检索

ISSN1001-3806CN51-1125/TN 网站地图

留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

从极紫外光刻发展看全球范围内的技术合作

曾海峰 郭磊 李世光 钟志坚 李琛毅 余江 李显杰

引用本文:
Citation:

从极紫外光刻发展看全球范围内的技术合作

    作者简介: 曾海峰(1997-), 男, 硕士研究生, 现主要从事光刻对焦控制与检测方面的研究.
    通讯作者: 李世光, lishiguang@tsinghua.org.cn
  • 基金项目:

    江苏省科技成果转化专项资金资助项目 BA2021033

  • 中图分类号: TN305.7

Global technical cooperation from the perspective of extreme ultraviolet lithography development

    Corresponding author: LI Shiguang, lishiguang@tsinghua.org.cn ;
  • CLC number: TN305.7

  • 摘要: 在针对芯片的"卡脖子"技术中, 极紫外(EUV)光刻是最重要的一环。EUV光刻技术已经被广泛应用于最先进工艺节点的集成电路芯片制造之中。它的研发交叉融合了光学、机械、电子、控制、软件、材料、数学、物理等多个学科的知识。EUV光刻的发展反映了世界范围内联合研发的演变过程, 开放和合作是发展过程中的主旋律。回顾了EUV光刻的发展历史及所涉及的重大项目和机构, 讨论了全球唯一的EUV光刻机制造商——ASML公司的灵活多变的国际化合作路线, 分析了自1997年以来世界各代表性研发机构的研发趋势以及与EUV光刻发展的关系, 详叙了各参与机构在世界范围内的合作对EUV光刻发展的影响。该研究为研发先进光刻机等类似高端装备提供了一些启示和参考。
  • 图 1  EUV光刻机示意图[8]

    Figure 1.  Schematic diagram of EUV lithography machine[8]

    图 2  a—世界第1台X射线曝光装置原理示意图[9]   b—曝光图形[9]

    Figure 2.  a—schematic diagram of world's first X-ray exposure[9]   b—exposure pattern[9]

    图 3  世界EUV光刻项目一览[12]

    Figure 3.  Overview of EUV lithography projects in the world[12]

    图 4  ASML公司的光刻发展历程及与美国EUV光刻技术之间的联系

    Figure 4.  ASML's EUV lithography development and its relationship with the development in the United States

    图 5  ASML公司近年的EUV光刻发展路线图[22]

    Figure 5.  ASML's EUV lithography development roadmap in recent years[22]

    图 6  日本的EUV光刻发展历程

    Figure 6.  Development of EUV lithography in Japan

    图 7  ASML,IMEC,DOE,Nikon,Intel,Samsung及TSMC发文趋势[7]

    Figure 7.  ASML, IMEC, DOE, Nikon, Intel, Samsung, TSMC articles trends[7]

    图 8  ASML, IMEC, DOE, Nikon, Intel, 三星和台积电的合作发文比例[7]

    Figure 8.  Percentage of cooperative articles of ASML, IMEC, DOE, Nikon, Intel, Samsung and TSMC[7]

    表 1  EUV光刻主要项目/组织简介

    Table 1.  Brief introduction of EUV lithography main projects/organizations

    项目/组织名称 主要成员 研究目标及方向
    EUV LLC Intel和美国能源部牵头成立了EUV LLC,包括AMD,Motorola,Micron,Infineon和IBM等公司和三大国家实验室(LBNL,LLNL和SNL)[14] 致力于EUVL生产设备和工艺技术的研究开发;
    持续时间:1997年~2003年
    SEMATECH 核心成员包括台积电、格罗方德、惠普、IBM、Intel、联电以及纽约州立大学奥尔巴尼分校的纳米科学与工程学院(CNSE)[23-24] 其宗旨是加速美国半导体产业的技术创新向制造方案的商业化转化;
    创建时间: 1987年
    EUCLIDES(Extreme UV Concept Lithography
    Development System)
    由ASML领衔,成员还包括卡尔蔡司、牛津仪器、飞利浦、TNO-TPD、FOM、PTB和FhG-IWS[25] 评估EUVL作为分辨率为70 nm及以下的可行光刻解决方案;
    创建时间: 1998年
    MEDEA+(Microelectronics Development for European Applications+) 参加MEDEA+计划的企业有阿尔卡特公司、伯施公司、布尔公司、飞利浦、ST微电子公司、ASML、蔡司、爱立信、诺基亚及汤姆逊多媒体公司[26] MEDEA+计划最重要的目标是加速技术的开发,在这方面主要是确定芯片尺寸的进一步小型化。MEDEA+计划侧重一些对全球竞争有战略意义的关键技术:如系统芯片设计方法和软件工具、芯片基础技术(例如光刻)以及对欧洲半导体工业具有战略重要性的专用组件的芯片技术;
    持续时间: 2001年~2008年
    More Moore 15家公司:ASML, Phystex, Zeiss, AMTC, Philips EUV, XTREME Technologies, FOCUS, SIGMA-C, AZ Electronic Materials, Schott Lithotec, Philips, XENOCS, Sagem Défense Sécurité Imagine Optic, EPPRA and Media Lario. Academic;
    10个研究所:IMEC, CEA Leti, CNRS, TNO, FOM Rijnhuizen, Fraunhofer Institute, ISAN and IPM RAS (Russian Institutes of Science), ENEA, ELETTRA, NCSR;
    4所大学:Bielefeld, Mainz, Delft和Birmingham [27]
    旨在促进欧洲极紫外光刻(EUVL)的发展;
    持续时间: 2003年~2006年
    EXEPT 项目领导者:Gerold Alberga, ASML;
    项目参与者: Adixen Vacuum Products AMTC, ASML, Bruker Advanced Supercon GmbH, Carl Zeiss SMT GmbH, Dynamic Micro Systems, Semiconductor Equipment GmbH, FOM institute DIFFER, Fraunhofer Institute for Integrated Systems and Device Technology (IISB), IMEC-Interuniversitair Micro-Electronica Centrum VZW, IMS Chips, Media Lario Technologies, SAGEM Défense Sécurité, SUSS MicroTec Photomask, Equipment GmbH & Co.KG, Xenocs, XTREME Technologies GmbH[28]
    探索将光刻工艺扩展到22 nm、16 nm甚至11 nm节点的可能性;
    持续时间: 2009年~2012年
    ASET(Association for Super-Advanced Electronics Technologies) 姬路工业大学高度产业科学技术研究所,东北大学科学计测研究所,大阪大学产业科学研究所,Hoya,NTT,Nikon,Canon等[29] 专注于掩模和抗蚀剂技术的开发,主要方向是开发面向21世纪的16 GB-DRAM所需的集成电路技术;
    持续时间: 1996年~2001年
    EUVA(Extreme Ultraviolet Lithography System Deve-lopment Association) 5家装备公司: Ushio, Canon, Nikon, Komatsu, Gigaphoton;
    4家半导体器件制造商: Toshiba, NEC, Fujitsu, 和Renesas Technology[30]
    对EUV光源和光刻工具进行广泛的研究;
    持续时间: 2001年~2011年
    SELETE 以东芝、日本电气、瑞萨和富士通4家公司为核心[31] 专注于掩模和抗蚀剂技术的开发,以研究和开发45 nm和32 nm节点的实用制造工艺为主;
    创建时间: 2006年
    EIDEC(Evolvingnano-
    process Infrastructure Development Center)
    由东芝领军,由11间日本企业共同出资设立,EIDEC已经和ASML等不少半导体大厂合作,包括英特尔和三星, 台积电和瑞萨电子于2011年加入; 除了半导体厂外,日本国内也有不少感光材料厂和掩模厂加入EIDECm, 其中JSR、信越化学工业、东京应化工业3家感光树脂大厂的合计市场占有率就高达7成, 大日本印刷及凸版印刷等各掩模厂的合计市场占有率也足足达到5成[32] 致力于研究深紫外线微影技术;
    持续时间: 2011年~2019年
    下载: 导出CSV

    表 2  与ASML共同在Advanced Lithography会议上发表论文的机构

    Table 2.  Institutions that co-publish papers at the Advanced Lithography conference with ASML

    国家 序号 机构名称 领域
    美国 1 Global Foundries 代工厂
    2 IBM 芯片制造商
    3 AMD 芯片制造商
    4 Micron Technology 芯片制造商
    5 Intel 芯片制造商
    6 Inpria 光刻胶
    7 Cymer EUV光刻机光源供应商
    8 Rohm & Haas 光刻胶
    9 LBNL 美国能源部三大国家实验室之一,拥有12名诺贝尔奖获得者,EUV LLC成员
    10 SNL 美国能源部三大国家实验室之一,研发LPP光源,EUV LLC成员
    11 LLNL 美国能源部三大国家实验室之一,最早研究EUV光刻的机构,EUV LLC成员
    12 University at Albany, State University of New York ASML的ADT安装地
    13 SEMATECH 战略联盟,其宗旨是加速美国半导体产业的技术创新向制造方案的商业化转化
    14 Shipley Company LLC 光刻胶
    15 Mentor 电子设计自动化软件等电子设计自动化工具
    16 National Institute of Standards and Technology 计量标准、测量
    17 Lam Research 半导体设备
    18 Rigaku Innovative Technologies 光学镀膜
    19 Zygo Corporation 光学测量
    20 Synopsys 光刻仿真软件
    21 AGC Electronics America 光学材料,掩模基板
    22 University of California, University of Wisconsin System, MIT, University of Arizona, New Jersey City University, University of Texas System, Rochester Institute of Technology, Stanford University, Cornell University 探索性研究
    23 Integris Inc 掩模辅助工具
    24 Nanometrics Inc 晶圆检测
    25 HermesMicrovision 晶圆检测
    26 KLA-Tencor 晶圆检测
    德国 1 Carl Zeiss AG 光学系统
    2 Advanced Mask Technology Center 掩模
    3 Fraunhofer-Gesellschaft 微电子器件
    4 Physikalisch-Technische Bundesanstalt 计量与测试
    5 GermanyoptiX Fab EUV产品初创公司,2012年成立,商品化IOF的
    6 XTREME Technologies GmbH 光源
    7 Forschungzentrum Dresden 光源
    8 BLV Licht-und Vakuumtechnik GmbH 光源
    日本 1 TOSHIBA 微电子产品
    2 Tokyo Electron Limited 涂胶、显影设备
    3 Osaka University 大学
    4 JSR Corporation 光刻胶
    5 JSR MICRO 光刻胶
    6 Toppan 掩模
    7 Hoya Corporation 掩模
    8 EUVL Infrastructure Development Center 专注于EUV光刻的合作研发组织,东芝领军
    荷兰 1 The Netherlands Organization for Applied Scientific Research 国家科研机构
    2 Philips ASML母公司
    3 The Dutch Institute for Fundamental Energy Research 同步辐射源
    4 University of Twente 大学
    5 Leiden University 大学
    6 Technische Universiteit Delft 大学
    7 Eindhoven University of Technology 大学
    8 ASML EUV光刻机供应商
    瑞士 1 Paul Scherrer Institute 光源
    2 Swiss Federal Institute of Technology in Zurich 大学
    3 EULITHA AG 光刻设备及零件
    4 STMicroelectronics 芯片商
    比利时 1 IMEC 比利时微电子研究所,ASML的测试机安装场所
    2 Catholic University of Leuven 大学
    3 ASM International 半导体材料
    法国 1 Sagemcom 电子产品
    2 FranceXenocs 分析测试仪器
    韩国 1 SKhynix 芯片商
    2 Samsung 芯片商
    中国 1 Shanghai IC R&D Center 科研机构
    2 ChangXin Memory Technologies, Inc. 代工厂
    新加坡 1 Chartered Semiconductor 代工厂
    2 National University of Singapore 大学
    巴基
    斯坦
    1 University of Agriculture, Faisalabad 大学
    2 The University of Sindh 大学
    波兰 1 Military University of Technology in Warsaw 光源
    俄罗斯 1 Institute of Laser Physics(ILP) 光源
    英国 1 Oxford Instruments 20世纪90年代与ASML公司合作EUV光刻项目
    捷克 1 Czech Technical University in Prague 光源
    爱尔兰 1 University College Dublin 光源
    下载: 导出CSV

    表 3  ASML及IMEC论文发表统计(1997~2019年)[7]

    Table 3.  ASML and IMEC publication statistics (1997~2019)[7]

    发表文章总数 合作论文总数 合作论文占比/% 合作国家数 合作机构数 同时包括ASML和IMEC的文章占比 共同的合作国家
    ASML 120 100 83 11 57 24/120 美国、日本、荷兰、德国、
    比利时、瑞士、韩国、英国
    IMEC 108 89 82 9 57 24/108
    下载: 导出CSV
  • [1]

    BARDEEN J, BRATTAIN W H. The transistor, a semi-conductor triode[J]. Proceedings of the IEEE, 1998, 86(1): 29-30. doi: 10.1109/JPROC.1998.658753
    [2]

    TIETZ T. Jack kilby-inventor of the integrated circuit[EB/OL]. (2012-06-20)[2021-06-15]. http://scihi.org/jack-kilby-inventor-integrated-circuit.
    [3]

    DRAPER D. TSMC's 5 nm (FinFET) process technology[EB/OL]. (2020-02-05)[2021-06-15]. https://www.tsmc.com/english/dedicatedFoundry/technology/logic/l_5nm.
    [4]

    HUTCHESON G D. Moore's law, lithography, and how optics drive the semiconductor industry[J]. Proceedings of the SPIE, 2018, 10583: 1058303.
    [5] 姚长呈, 巩岩. 深紫外光刻投影物镜温度特性研究[J]. 中国激光, 2016, 43(5): 0516001.

    YAO Ch Ch, GONG Y. Research on temperature distribution of deep ultraviolet lithographic projection objective[J]. Chinese Journal of Lasers, 2016, 43(5): 0516001(in Chinese). 
    [6] 何立文, 罗乐, 孟钢, 等. 新型光刻技术研究进展[J]. 激光技术, 2019, 43(1): 30-37.

    HE L W, LUO L, MENG G, et al. Recent progress of novel photolithography technologies[J]. Laser Technology, 2019, 43(1): 30-37(in Chinese). 
    [7]

    SPIE. SPIE advanced lithography conference proceedings browse proceedings (1997-2019)[EB/OL]. [2021-12-10]. https://www.spiedigitallibrary.org/conference-proceedings-of-spie/browse/SPIE-Advanced-Lithography.
    [8]

    ASML. The TWINSCAN NXE: 3600D is ASML's latest-generation lithography system, supporting EUV volume production at the 5 and 3 nm Logic nodes and leading-edge DRAM nodes[EB/OL]. [2022-03-19]. https://www.asml.com/en/products/euv-lithography-systems/twinscan-nxe-3600d.
    [9]

    KINOSHITA H, KANEKO T, TAKEI H, et al. Study on X-ray reduction projection lithography[C]//47th Autumn Meeting Japan Society of Applied Physics. New York, USA: IEEE, 1986: 28-ZF15.
    [10]

    BASOV N G, VERGUNOVA G A, VOLOSEVICH P P, et al. Conversion of laser radiation into thermal self-radiation of a plasma[J]. Soviet Journal of Quantum Electronics, 1987, 17(9): 1203. doi: 10.1070/QE1987v017n09ABEH009901
    [11]

    SILFVAST W T, WOOD Ⅱ O R. Tenth micron lithography with a 10 Hz 37.2 nm sodium laser[J]. Microelectronic Engineering, 1988, 8(1/2): 3-11.
    [12]

    YEN A. EUV Lithography: From the very beginning to the eve of manufacturing[J]. Proceedings of the SPIE, 2016, 9776: 977632. doi: 10.1117/12.2236044
    [13] 木下博雄. X線工学とその応用超精密軟X線光学系の開発と応用EUV リソグラフィ[J]. レーザー研究, 1999, 27(1): 20-24(in Japanese).

    [14]

    BAKSHI V. EUV lithography[M]. Washington DC, USA: SPIE Press, 2009: 63-65.
    [15]

    NAULLEAU P P, GOLDBERG K A, ANDERSON E H, et al. Static EUV micro-exposures using the ETS Set-2 optics[C]//Emerging Lithographic Technologies Ⅶ. Santa Clara, California, USA: International Society for Optics and Photonics, 2003: 36-46.
    [16]

    BENSCHOP J P H, KAISER W M, OCKWELL D C. Euclides: European EUVL program[J]. Journal of Vacuum Science & Technology, 1999, B17(6): 2978-2981.
    [17]

    MEILING H, MEIJER H, BANINE V, et al. First performance results of the ASML alpha demo tool[J]. Proceedings of the SPIE, 2006, 6151: 615108. doi: 10.1117/12.657348
    [18]

    WAGNER C, BACELAR J, HARNED N, et al. EUV lithography at chipmakers has started: performance validation of ASML's NXE: 3100[J]. Proceedings of the SPIE, 2011, 7969: 79691F.
    [19] 中国集成电路编辑部. ASML获得台积电投资11亿欧元[J]. 中国集成电路, 2012(9): 11.

    EDITORIAL BOARD OF CHINA INTEGRATED CIRCUIT. ASML received 1.1 billion euros from TSMC[J]. China Integrated Circuit, 2012(9): 11(in Chinese).
    [20]

    RUDY P, SJOERD L, JOERG M, et al. EUV lithography: NXE platform performance overview[J]. Proceedings of the SPIE, 2014, 9048: 90481J.
    [21]

    PIRATI A, PEETERS R, SMITH D, et al. EUV lithography performance for manufacturing: Status and outlook[J]. Proceedings of the SPIE, 2016, 9776: 97760A.
    [22]

    SCHOOT J V, SETTEN E V, TROOST K, et al. High-NA EUV lithography exposure tool: Program progress[J]. Proceedings of the SPIE, 2020, 11323: 1132307.
    [23]

    SUNY POLYTECHNIC INSTITUTE. Sematech[EB/OL]. [2021-12-12]. http://www.sematech.org.
    [24] 胡冬云. 产业技术创新联盟中的政府行为研究——以美国SEMATECH为例[J]. 科技管理研究, 2010, 30(18): 21-24.

    HU D Y. Study on the government behavior in industrial technology innovation consortia: A case study of SEMATECH[J]. Science and Technology Management Research, 2010, 30(18): 21-24(in Chinese). 
    [25]

    BENSCHOP J P H, KAISER W M, OCKWELL D C. EUCLIDES: The European EUVL program[J]. Emerging Lithographic Technologies Ⅲ, 1999, 3676: 246-252.
    [26]

    MEDEA CO. MEDEA+[EB/OL]. [2021-12-22]. http://www.medeaplus.org.
    [27]

    EMERALD GROUP PUBLISHING LIMITED. "More Moore" shows European EUV innovation at EUV 2006 in Barcelona[EB/OL]. [2021-12-22]. https://www.emerald.com/insight/content/doi/10.1108/mi.2007.21824aab.006/full/html.
    [28]

    CATRENE. Cluster for application and technology research in europe on nanoelectronics[EB/OL]. [2021-12-22]. http://www.catrene.org.
    [29]

    OKAZAKI S. EUV lithography research program at ASET[C]//Emerging Lithographic Technologies Ⅲ. New York, USA: International Society for Optics and Photonics, 1999: 238-245.
    [30]

    GIGAPHOTON. Status of world research in EUV lithography[EB/OL]. [2021-12-22]. https://www.gigaphoton.com/en/technology/euv-topics/status-of-world-research-in-euv-lithography.
    [31]

    CHINA FERROALLOY NETWORK. Introduction and analysis of Japanese semiconductor industry[EB/OL]. [2021-12-22]. http://www.ferro-alloys.cn/News/Details/132499.
    [32]

    DIGITIMES. TSMC joins EIDEC[EB/OL]. [2021-12-22]. http://www.eepw.com.Cn/article/120435.html.
    [33] 中国科学院长春光学精密机械与物理研究所. 长春光机所承担的国家科技重大专项项目"极紫外光刻关键技术研究"顺利通过验收. [EB/OL]. (2017-06-21)[2017-07-04]. http://www.ciomp.ac.cn/xwdt/yw/201707/t20170704_4822124.html.

    CHANGCHUN INSTITUTE OF OPTICS FINE MECHANICS AND PHYSICS, CHINESE ACADEMY OF SCIENCES. The national science and technology project "key technology research of extreme ultraviolet lithography" undertaken by CIOMP successfully passed the acceptance[EB/OL]. (2017-06-21)[2017-07-04]. http://www.ciomp.ac.cn/xwdt/yw/201707/t20170704_4822124.html(in Chinese).
    [34] 金春水. 极紫外投影光刻中若干关键技术研究[D]. 长春: 中国科学院研究生院(长春光学精密机械与物理研究所), 2003: 75-94.

    JIN Ch Sh. Investigation on extreme ultraviolet lithography[D]. Changchun: University of Chinese Academy of Sciences (Changchun Institute of Optics, Fine Mechanicsand Physics, Chinese Academy of Sciences), 2003: 75-95(in Chinese).
    [35] 宗楠, 胡蔚敏, 王志敏, 等. 激光等离子体13.5 nm极紫外光刻光源进展[J]. 中国光学, 2020, 13(1): 28-42.

    ZONG N, HU W M, WANG Zh M, et al. Research progress on laser-produced plasma light source for 13.5 nm extreme ultraviolet lithography[J]. Chinese Optics, 2020, 13(1): 28-42(in Chinese). 
  • [1] 王马华朱光平崔一平张彤 . 集成光学陀螺谐振腔结构参量的确定. 激光技术, 2010, 34(1): 26-29. doi: 10.3969/j.issn.1001-3806.2010.01.008
    [2] 李晓惠杨亚培 . 基于LiNbO3波导的共线集成声光器件. 激光技术, 2004, 28(4): 355-358.
    [3] 胡哲峰陈福深孙豹陈实 . 集成光波导电场传感器分段电极频率响应分析. 激光技术, 2011, 35(5): 688-691. doi: 10.3969/j.issn.1001-3806.2011.05.030
    [4] 缪庆元何健何平安王宝龙黄德修 . 集成双波导半导体光放大器小信号放大纵向特性. 激光技术, 2012, 36(3): 289-292.
    [5] 宋登元 . ArF准分子激光光刻的研究现状. 激光技术, 1999, 23(5): 288-291.
    [6] 刘旭东译贾申祥校 . 集成光学的发展. 激光技术, 1980, 4(3): 47-50.
    [7] 李士玲叶永凯 . 飞秒激光直写透明光学材料光波导的研究进展. 激光技术, 2012, 36(6): 783-787. doi: 10.3969/j.issn.1001-3806.2012.06.018
    [8] 赵峰张云耿完桢姜铃珍洪晶 . 光互连新进展. 激光技术, 1995, 19(1): 14-18.
    [9] 杨若琪王新兵兰慧 . CO2与Nd:YAG脉冲激光锡等离子体羽辉膨胀特性的研究. 激光技术, 2016, 40(2): 223-226. doi: 10.7510/jgjs.issn.1001-3806.2016.02.015
    [10] 叶震寰楼祺洪李红霞董景星魏运荣 . 准分子激光光束均匀技术. 激光技术, 2005, 29(2): 207-209,212.
    [11] 郭亚平赵江李波 . 基于波导间隔余弦分布的光学相控阵研究. 激光技术, 2019, 43(1): 98-102. doi: 10.7510/jgjs.issn.1001-3806.2019.01.020
    [12] 曾明沈建新钮赛赛梁春 . 基于自动控制理论的自适应光学控制系统优化. 激光技术, 2014, 38(5): 692-697. doi: 10.7510/jgjs.issn.1001-3806.2014.05.025
    [13] 张谦述刘永智杨亚培戴基智 . 质子交换LiNbO3波导表面裂纹的研究. 激光技术, 2006, 30(4): 385-389.
    [14] 张文杨建义王明华 . 玻璃基离子交换型宽带22 3dB耦合器研究. 激光技术, 2013, 37(5): 582-586. doi: 10.7510/jgjs.issn.1001-3806.2013.05.005
    [15] 刘旭东 . 集成光学及其应用. 激光技术, 1981, 5(2): 1-7.
    [16] 苏洁梅戴基智杨亚培 . 光波导放大器的研究进展. 激光技术, 2004, 28(6): 604-608.
    [17] 张剑李国华郝殿中 . 离子注入铌酸锂平面波导双折射特性的研究. 激光技术, 2006, 30(4): 360-362,365.
    [18] 高磊王涛 . 基于Mach-Zehnder结构微环谐振腔全光逻辑门的研究. 激光技术, 2014, 38(5): 614-618. doi: 10.7510/jgjs.issn.1001-3806.2014.05.008
    [19] 张滨宋少鸿王宏建江晓清杨建义 . 一种基于微环的新型4×4非阻塞光路由开关. 激光技术, 2013, 37(6): 731-735. doi: 10.7510/jgjs.issn.1001-3806.2013.06.006
    [20] 薛赞陈迪陈明 . 基于铌酸锂光子线的极化分裂器的设计和仿真. 激光技术, 2015, 39(5): 694-696. doi: 10.7510/jgjs.issn.1001-3806.2015.05.023
  • 加载中
图(8) / 表(3)
计量
  • 文章访问数:  5030
  • HTML全文浏览量:  3346
  • PDF下载量:  74
  • 被引次数: 0
出版历程
  • 收稿日期:  2022-01-13
  • 录用日期:  2022-06-16
  • 刊出日期:  2023-01-25

从极紫外光刻发展看全球范围内的技术合作

    通讯作者: 李世光, lishiguang@tsinghua.org.cn
    作者简介: 曾海峰(1997-), 男, 硕士研究生, 现主要从事光刻对焦控制与检测方面的研究
  • 1. 中国科学院 微电子研究所 成果转化部, 北京 100029
  • 2. 中国科学院大学 集成电路学院, 北京 100049
  • 3. 江苏影速集成电路装备股份有限公司, 徐州 221300
  • 4. 中国科学院 科技战略咨询研究院, 北京 100190
基金项目:  江苏省科技成果转化专项资金资助项目 BA2021033

摘要: 在针对芯片的"卡脖子"技术中, 极紫外(EUV)光刻是最重要的一环。EUV光刻技术已经被广泛应用于最先进工艺节点的集成电路芯片制造之中。它的研发交叉融合了光学、机械、电子、控制、软件、材料、数学、物理等多个学科的知识。EUV光刻的发展反映了世界范围内联合研发的演变过程, 开放和合作是发展过程中的主旋律。回顾了EUV光刻的发展历史及所涉及的重大项目和机构, 讨论了全球唯一的EUV光刻机制造商——ASML公司的灵活多变的国际化合作路线, 分析了自1997年以来世界各代表性研发机构的研发趋势以及与EUV光刻发展的关系, 详叙了各参与机构在世界范围内的合作对EUV光刻发展的影响。该研究为研发先进光刻机等类似高端装备提供了一些启示和参考。

English Abstract

    • 半导体产业是信息时代各行各业快速发展的支撑,而集成电路(integrated circuit, IC)是半导体产业的基础。自1947年第1个晶体管诞生[1],到1958年世界上搭建第1块集成电路[2],再到2020年国际先进半导体制造实现5 nm工艺制程量产[3],晶体管密度逐渐提高。早在1965年,英特尔公司的创始人之一戈登·摩尔就提出了著名的摩尔定律[4]:集成电路上的晶体管数量每隔18~24个月增加1倍。摩尔定律能够持续,得益于工艺技术的更新,也得益于生产设备的持续升级。经过多年的发展,集成电路行业涉及面愈来愈广,已经形成多国、多科研团队、多公司参与的全球范围的成熟产业链。集成电路制造的难点在于极为精密复杂的制造工艺和制造设备。以最终的芯片为例,其制造流程涉及切片、抛光、涂胶、光刻、显影、刻蚀、切割、封装等一系列步骤,每一步骤都需要专门的设备,因为工序操作复杂,投产一条先进的集成电路生产线对资金和技术都有着极高的要求。

      光刻技术是半导体行业的关键核心技术之一,光刻技术的发展与曝光波长的减小息息相关。瑞利判据[5]告诉人们,晶圆表面清晰成像的两个点的最小距离由工艺因子、曝光波长和投影物镜的数值孔径决定。通过减小曝光波长、工艺因子,或者增大数值孔径可以使最小线宽减小,降低技术节点。减小工艺因子和增大数值孔径方案由于物理限制,几乎已经达到了极限,所以通过减小曝光光源波长在光刻技术发展史中,一直是科研人员探索的方向。光刻机的曝光波长从435 nm(G线)、365 nm(I线)、248 nm(KrF)、193 nm(ArF),一直下降到13.5 nm的极紫外(extreme ultraviolet, EUV)光。EUV光刻在降低技术节点,提高超大规模电路集成度方面具有无可比拟的优势。光刻技术的发展速度是惊人的,其动力不仅源自于人类社会对于高性能芯片的不懈追求,更源自于产业链中诸多团队的紧密合作。EUV光刻从1986年首次报道,到现在大规模使用,仅仅36年的时间,它是典型的技术合作的产物,研究它的发展对研发类似高端装备具有重要的启示作用[6]。本文作者以EUV光刻发展为例,阐述这种全球性、广泛的技术合作的发展历程、特点及其必然性。本文中参考文献大多源于国际光学工程学会每年召开的先进光刻会议文集[7]。该会议是光刻行业规模最大、影响力最广的行业会议,国际知名光刻公司、科研机构每年都会在该会议上介绍他们的最新研究成果和光刻能力。

    • EUV光刻通过将曝光波长大幅减小至13.5 nm来实现更小节点的光刻,目前最先进的EUV光刻分辨率为13 nm,通过优化工艺可以实现3 nm逻辑节点器件和尖端动态随机存取存储器(dynamic random access memory, DRAM)的制造[8]。它属于一种步进-扫描式投影曝光技术,其结构如图 1所示。主要包括光源、照明系统、掩模台(承载掩模板)、投影系统、工件台(承载硅片)和测量系统, 其中,光源还包括一个巨大的EUV光发生装置,它不与光刻机放在同一个房间。本系统为双工件台系统,一个工件台进行曝光,另一个测量硅片表面3维位置,工件台可以在曝光位和测量位之间交换。EUV光确切来说是一种等离子体辐射,它的产生方式主要有两种:激光产生(laser-produced-plasma,LPP)和放电产生(discharge-produced-plasma,DPP)。ASML公司的EUV光刻机采用LPP技术,即高功率CO2脉冲激光轰击一系列锡的液滴/锡靶,产生等离子体,发射EUV光。EUV光不是激光,它类似汞灯,向四周辐射。EUV光产生后,集光镜将其汇聚到一个中间焦点。从中间焦点发出的EUV光经过照明系统整形,投射到掩模板上。掩模板上的图形经过投影系统成像到硅片上的光刻胶上,光刻胶在光照作用下产生光化学反应,经过显影,曝光区域被保留(负胶)或被清除(正胶),进一步经过刻蚀,在硅片上形成需要的图形结构。

      图  1  EUV光刻机示意图[8]

      Figure 1.  Schematic diagram of EUV lithography machine[8]

      尽管EUV光刻过程与深紫外(deep ultraviolet, DUV)光刻过程类似,但由于EUV光与DUV光有很大差别,且曝光线条明显缩小,因此出现极多全新的挑战。例如: (1)EUV光会被材料强烈吸收,因此EUV光路必须处于真空环境,光学元件需要全部采用反射元件,掩模也为反射式结构,这些改变带来的是EUV光刻和掩模制造领域的巨大革新; (2)高功率、高稳定性EUV光源的研制;(3)几乎零像差的曝光系统的制造与装配技术,包括极高的反射镜加工和镀膜技术、无缺陷掩模板制备技术;(4)EUV光为高能粒子束,需要在真空环境下有效控制EUV光与真空中残余分子作用产生的颗粒、碎屑等污染,减小这些污染对镜片、掩模板、光刻胶等的清洁度影响,保持光能利用率,控制曝光缺陷;(5)在保证曝光质量和进行污染控制前提下的大气、低、高真空环境切换技术;(6)各种材料的放气控制技术、真空环境下的热控制技术等。评价光刻性能有4项关键指标:关键尺寸及关键尺寸均匀性、套刻精度、聚焦精度和产能。这4项指标不是由光刻机内单一的某个子系统或部件承担,而是子系统、零部件、光刻工艺相互配合、共同作用的综合结果。例如,关键尺寸及关键尺寸均匀性的影响因素包括且不只限于:光源功率、带宽和偏振态、照明的空间光谱分布和照明均匀性、掩模板的干涉衍射效应、投影系统像差、工件台动态特性、光刻胶、光刻工艺等。为了制造纳米线条,必须对所有影响因素进行综合平衡,逐项控制。其它3项指标与此类似。在控制这些苛刻指标的同时还要兼顾EUV真空环境、EUV的高能粒子性和低的光能利用率等EUV光刻特有的问题。这种光刻机内外对光刻性能影响的错综复杂性与同样具有很高技术含量的航天工程有很大区别,以搭载成像光谱仪的卫星为例,运载卫星主要由火箭承担,对地观测主要由空间相机承担,运载能力和观测能力之间几乎没有交叉,可以由各自的研发团队独立完成。

    • EUV光刻技术的研发始于20世纪80年代。1986年, 日本电信电话公司(Nippon Telecom and Telephone Co., NTT)首先报道EUV(当时叫X射线)光刻曝光成果,如图 2所示[9]。图中曝光波长为11 nm,同步辐射(synchrotron radiation, SR)源照明,8倍投影物镜,扫描式曝光。1987年, 前苏联的列别捷夫物理研究所[10]和1988年美国AT&T的Bell实验室分别独立报道了其研究成果[11]。在当时,这3家科研机构均为各自领域的先驱,表明EUV光刻从初始阶段就在全球范围内开展研究,且研究内容具有极强的前瞻性和探索性。

      图  2  a—世界第1台X射线曝光装置原理示意图[9]   b—曝光图形[9]

      Figure 2.  a—schematic diagram of world's first X-ray exposure[9]   b—exposure pattern[9]

      从此以后,世界各国的科学家在各种项目的资助下开展了一系列研究,部分项目如图 3所示。红色菱形框为最早发表研究成果的机构和完成人[12]。从图中可知,在1986年~2016年的30年里,EUV光刻经历了初步探索、早期研发、联合研发/供应商技术构建到商业化4个过程。诸多世界顶尖机构为此做出了贡献,例如日本NTT,Hitachi,Nikon,美国AT&T, 三大国家实验室——劳伦斯·利弗莫尔国家实验室(Lawrence Livermore National Laboratory, LLNL)、桑迪亚国家实验室(Sandia National Laboratories, SNL)、劳伦斯·伯克利国家实验室(Lawrence Berkeley National Laboratory, LBNL),欧洲的Zeiss和ASML等。这些顶尖机构的参与进一步表明EUV光刻技术的难度以及合作进行科学探索的意义。EUV光刻技术难度之高,已远远超出独立机构所能解决的范围,因此大量联合研发项目或机构此起彼伏,例如日本的EUVA, ASET, SELETE,美国的EUV有限责任公司,SEMATECH,欧洲的EUCLIDES, MEDEA+等。

      图  3  世界EUV光刻项目一览[12]

      Figure 3.  Overview of EUV lithography projects in the world[12]

      在EUV光刻发展过程中,比较有影响力的事件如图 4所示。图中,红色字体为美国独立或主导的研究,黄色字体为ASML公司独立或主导的研究,绿色字体为ASML与美国合作的研究,蓝色虚框为ASML的收购或融资行动。

      图  4  ASML公司的光刻发展历程及与美国EUV光刻技术之间的联系

      Figure 4.  ASML's EUV lithography development and its relationship with the development in the United States

      1986年, NTT的木下先生搭建了世界上首台EUV光刻实验装置并实现扫描曝光,他于1995年进入姬路工业大学,1996年,联合东芝和尼康开发除光源采用同步辐射源外、其它均接近现代EUV光刻机的实验系统,并于1999年发表了阶段性成果[13]。在日本研发EUV光刻的同时,美国Bell实验室也开展相关技术研究,并于1988年首次报道其研究成果;1990年, 其实验装置移往SNL继续进行研究;1994年,美国政府首次资助一个大型EUV光刻项目,该项目即包括上述SNL,同时包括美国能源部(Department of Energy, DOE)另外两大国家实验室LBNL和LLNL。

      1997年,Intel、IBM等先进IC客户联合上述三大国家实验室及其它设备、材料等研发机构成立EUV有限责任公司(EUV Limited Liability Company, EUV LLC),开展综合的上下游、产学研结合的EUV光刻技术研究[14],并于2003年建成现代光刻机原型——工程测试台(engineering test stand, ETS)系统[15]。该组织成员有些不是美国本土机构,在满足美国政府一系列苛刻要求的前提下,荷兰的ASML公司后期加入该组织,分享了该组织的研究成果。2003年,该组织解散,美国方面研究重心转移至半导体制造技术(Semiconductor Manufacturing Technology, SEMATECH)产业联盟,于阿尔巴尼纳米研究中心和LBNL内进行。受EUV LLC影响,欧洲成立了EUCLIDES,日本成立ASET,研究在日美欧三强鼎立的局面中开展。

      1998年,ASML与Zeiss、牛津仪器等公司合作进行EUV光刻关键技术研究[16],期望最终得到Beta样机和商用机。ASML在充分利用欧洲和美国研发成果的基础上,于2006年推出商用测试机Alpha Demo Tool(ADT),分别安装在比利时微电子研究所(Interuniversity Microelectronics Centre, IMEC)和美国的Albany Nanotech[17],用于推动EUV实用技术的进展。在这两家科研机构的协助下,ASML迅速进行技术迭代,并于2011年在IMEC和中国台湾的台积电(Taiwanese Semiconductor Manufacturing Company,TSMC)安装预量产机型NXE: 3100,用于产品验证和工艺开发[18]。2012年, 台积电、Intel、三星3家集成电路制造巨头投资ASML进行联合研发,3家同时获得EUV光刻机的优先获取权[19]。2013和2016年,ASML先后向台积电发货第3代和第4代机型NXE: 3300B[20]和3350B[21],逐渐受到业界的认可。

      ASML后来在该领域发展顺利,其路线图如图 5所示。ASML公司于2020年推出的NXE: 3400C配备了数值孔径(numerical aperture,NA)为0.33的投影光学系统,能够提供每小时不小于170片晶圆的生产效率。目前,ASML仍在致力于研制下一代高NA光刻系统。作为全球唯一的EUV光刻机制造商,ASML的研发过程对我国研发EUV光刻机或类似的高端装备具有重要参考价值。如图 5所示,ASML从EUV光刻研究之初就走全球合作的发展路线,合作形式灵活,包括联合研发、并购、控股、注资等。从2000年至今,ASML共进行了6次收购: (1)2000~2002年, 收购美国的光刻机制造商SVGL,大幅提高市场份额并有效利用美国的研发力量;(2)2007年, 收购美国计算光刻软件公司Brion, 计算光刻是一种有效的提升光刻性能的方式,通过软件模拟光刻过程,节省大量的工艺验证成本,且有助于找到光刻问题的根源;(3)2012~2013年, 收购美国EUV光源制造商Cymer,解决EUV光刻的产能问题;(4)2016年, 收购汉民微测(Hermes Microvision Inc, HMI),解决纳米级别缺陷检测问题;(5)2017年, 收购Zeiss 24.9%的股份,从而使自己与关键部件制造商结成更紧密的同盟;(6)2019年, 收购电子束光刻厂家Mapper,意在攻克EUV光刻其它的技术难点。通过这些收购,ASML快速获取了自己需要的技术和市场。

      图  5  ASML公司近年的EUV光刻发展路线图[22]

      Figure 5.  ASML's EUV lithography development roadmap in recent years[22]

      在欧美紧锣密鼓地进行EUV光刻研发的同时,日本也在同步进行研究,如图 6所示。图中,蓝色字体为合作国家,红色字体为日本国的联合研发组织。继ASET之后,2005年, 半导体尖端技术(Semiconductor Leading Edge Technologies,SELETE)组织正式成立。SELETE组织以东芝、NEC电子、瑞萨和富士通4家公司为中心,带领其他厂商共同从事研发。为了开发光源技术及曝光装置技术,2001年成立了EUVA组织。同年成立的以大阪大学为中心的Leading Project,与EUVA密切配合进行研究。在SELETE之后,日本方面又成立了EIDEC机构继续进行掩模和光刻胶的研究。总体来看,日本的研究相对独立,且一开始就是全产业链研究。2007年以前,仅与波兰、德国、俄罗斯、捷克和美国开展过少量基础研究,2007年以后,主要以掩模、光刻胶、涂胶显影机、EUV光源等供应商的形式与其他国家开展合作。2007年恰恰是ASML公司最初两台ADT安装到客户端进行验证的第二年,此时国际上需要日本的先进EUV技术来打通整个EUV光刻工艺线。到目前为止,日本仍在这些领域保持领先地位。也就是从2007年左右开始,EUV光刻进入到不分国界、强强联合的全球合作阶段。Nikon在2003年研制了小型曝光装置HiNA,2005年报道了其曝光线条,2008~2009年,安装了一台曝光装置,但于2013年基本退出EUV光刻市场。日本于2012年左右率先转向下一代EUV光刻的研究,并较早地在掩模等缺陷检测方面开展研发,其研究成果获得国际认可。以上研发项目/组织的简介见表 1

      图  6  日本的EUV光刻发展历程

      Figure 6.  Development of EUV lithography in Japan

      表 1  EUV光刻主要项目/组织简介

      Table 1.  Brief introduction of EUV lithography main projects/organizations

      项目/组织名称 主要成员 研究目标及方向
      EUV LLC Intel和美国能源部牵头成立了EUV LLC,包括AMD,Motorola,Micron,Infineon和IBM等公司和三大国家实验室(LBNL,LLNL和SNL)[14] 致力于EUVL生产设备和工艺技术的研究开发;
      持续时间:1997年~2003年
      SEMATECH 核心成员包括台积电、格罗方德、惠普、IBM、Intel、联电以及纽约州立大学奥尔巴尼分校的纳米科学与工程学院(CNSE)[23-24] 其宗旨是加速美国半导体产业的技术创新向制造方案的商业化转化;
      创建时间: 1987年
      EUCLIDES(Extreme UV Concept Lithography
      Development System)
      由ASML领衔,成员还包括卡尔蔡司、牛津仪器、飞利浦、TNO-TPD、FOM、PTB和FhG-IWS[25] 评估EUVL作为分辨率为70 nm及以下的可行光刻解决方案;
      创建时间: 1998年
      MEDEA+(Microelectronics Development for European Applications+) 参加MEDEA+计划的企业有阿尔卡特公司、伯施公司、布尔公司、飞利浦、ST微电子公司、ASML、蔡司、爱立信、诺基亚及汤姆逊多媒体公司[26] MEDEA+计划最重要的目标是加速技术的开发,在这方面主要是确定芯片尺寸的进一步小型化。MEDEA+计划侧重一些对全球竞争有战略意义的关键技术:如系统芯片设计方法和软件工具、芯片基础技术(例如光刻)以及对欧洲半导体工业具有战略重要性的专用组件的芯片技术;
      持续时间: 2001年~2008年
      More Moore 15家公司:ASML, Phystex, Zeiss, AMTC, Philips EUV, XTREME Technologies, FOCUS, SIGMA-C, AZ Electronic Materials, Schott Lithotec, Philips, XENOCS, Sagem Défense Sécurité Imagine Optic, EPPRA and Media Lario. Academic;
      10个研究所:IMEC, CEA Leti, CNRS, TNO, FOM Rijnhuizen, Fraunhofer Institute, ISAN and IPM RAS (Russian Institutes of Science), ENEA, ELETTRA, NCSR;
      4所大学:Bielefeld, Mainz, Delft和Birmingham [27]
      旨在促进欧洲极紫外光刻(EUVL)的发展;
      持续时间: 2003年~2006年
      EXEPT 项目领导者:Gerold Alberga, ASML;
      项目参与者: Adixen Vacuum Products AMTC, ASML, Bruker Advanced Supercon GmbH, Carl Zeiss SMT GmbH, Dynamic Micro Systems, Semiconductor Equipment GmbH, FOM institute DIFFER, Fraunhofer Institute for Integrated Systems and Device Technology (IISB), IMEC-Interuniversitair Micro-Electronica Centrum VZW, IMS Chips, Media Lario Technologies, SAGEM Défense Sécurité, SUSS MicroTec Photomask, Equipment GmbH & Co.KG, Xenocs, XTREME Technologies GmbH[28]
      探索将光刻工艺扩展到22 nm、16 nm甚至11 nm节点的可能性;
      持续时间: 2009年~2012年
      ASET(Association for Super-Advanced Electronics Technologies) 姬路工业大学高度产业科学技术研究所,东北大学科学计测研究所,大阪大学产业科学研究所,Hoya,NTT,Nikon,Canon等[29] 专注于掩模和抗蚀剂技术的开发,主要方向是开发面向21世纪的16 GB-DRAM所需的集成电路技术;
      持续时间: 1996年~2001年
      EUVA(Extreme Ultraviolet Lithography System Deve-lopment Association) 5家装备公司: Ushio, Canon, Nikon, Komatsu, Gigaphoton;
      4家半导体器件制造商: Toshiba, NEC, Fujitsu, 和Renesas Technology[30]
      对EUV光源和光刻工具进行广泛的研究;
      持续时间: 2001年~2011年
      SELETE 以东芝、日本电气、瑞萨和富士通4家公司为核心[31] 专注于掩模和抗蚀剂技术的开发,以研究和开发45 nm和32 nm节点的实用制造工艺为主;
      创建时间: 2006年
      EIDEC(Evolvingnano-
      process Infrastructure Development Center)
      由东芝领军,由11间日本企业共同出资设立,EIDEC已经和ASML等不少半导体大厂合作,包括英特尔和三星, 台积电和瑞萨电子于2011年加入; 除了半导体厂外,日本国内也有不少感光材料厂和掩模厂加入EIDECm, 其中JSR、信越化学工业、东京应化工业3家感光树脂大厂的合计市场占有率就高达7成, 大日本印刷及凸版印刷等各掩模厂的合计市场占有率也足足达到5成[32] 致力于研究深紫外线微影技术;
      持续时间: 2011年~2019年

      纵观整个EUV光刻发展史可以看出,它是一部世界范围内的联合研发演变史。在这个发展过程中,研发项目此起彼伏,成员不断演变,研究目标不尽相同,最终形成以ASML为核心的比较稳定的研发群体。ASML集欧洲和美国研发成果于一身,在ETS建成3年后,推出EUV测试样机,与欧美日科研机构进行深度合作,将测试样机和预量产机型实用化。日本在掩模和光刻胶方面进行了长期持续的研究,这直接促使日本在这两方面优势明显。

      国内对于EUV光刻技术的研究起步稍晚,哈尔滨工业大学、中国科学院长春光学精密机械与物理研究所于20世纪90年代起,开展了EUV光源、成像技术研究[33],并于2002年研制了国内第1套EUV光刻原理装置[34]。2008年,国家“极大规模集成电路制造装备及成套工艺”科技重大专项将EUV光刻技术列为“32 nm~22 nm装备技术前瞻性研究”重要攻关任务[35]。中国科学院长春光学精密机械与物理研究所作为牵头单位承担起了“极紫外光刻关键技术研究”项目工作,其他成员包括中国科学院光电技术研究所、中国科学院上海光学精密机械研究所、中国科学院微电子研究所、北京理工大学、哈尔滨工业大学、华中科技大学等。可见,无论国内外,EUV光刻发展都伴随着科研团队和产业机构的紧密合作。

    • 在EUV光刻发展过程中,科研团队和产业机构的紧密合作具有其内在必然性。这是因为EUV光刻机是集成电路产线上的一台曝光工具,它具有以下特征:(1)它是一台集诸多尖端创新技术于一身的精密仪器;(2)机器内子系统、零部件之间,机器与产线上其它工艺步骤之间具有强烈耦合关系,整机性能是子系统、零部件、光刻工艺相互配合、共同作用的综合结果。这决定了EUV光刻技术的研发具有以下两个显著特点:(1)任何单独的光刻机厂家都极难掌握全部尖端技术,必须与外界进行紧密合作;(2)光刻机厂家必须与产线、零部件供应商之间进行深度的信息沟通,并对影响整机性能的关键部分进行及时调适,保证整机的最终性能。

      这些内在联系的必然性决定了EUV光刻发展的主旋律是开放与合作,从图 3表 1可见一斑。图 3表 1从表层体现了项目成员间的合作关系,但无法体现这种合作属于深度的有机融合还是简单的机构拼凑。公开资料中,学术论文是深层次合作关系的直接参考。一篇论文由不同机构的不同作者共同完成,那么可以认为该论文包含的信息在这些作者间进行了深度沟通,甚至可能共同取得,代表一种深层次的合作关系。下面通过统计1997年~2019年论文发表的情况,深度分析各机构间的发展和合作状态。

    • 表 2中统计了在Advanced Lithography会议上发表论文的各组织机构,包括光刻机制造商ASML、Nikon、ASML的合作科研机构IMEC、美国能源部三大实验室,以及三大芯片制造商Intel、三星、台积电。可以通过分析这些机构在Advanced Lithography上的论文发表情况及合作论文比例,借此考察合作交流与融合的程度。

      表 2  与ASML共同在Advanced Lithography会议上发表论文的机构

      Table 2.  Institutions that co-publish papers at the Advanced Lithography conference with ASML

      国家 序号 机构名称 领域
      美国 1 Global Foundries 代工厂
      2 IBM 芯片制造商
      3 AMD 芯片制造商
      4 Micron Technology 芯片制造商
      5 Intel 芯片制造商
      6 Inpria 光刻胶
      7 Cymer EUV光刻机光源供应商
      8 Rohm & Haas 光刻胶
      9 LBNL 美国能源部三大国家实验室之一,拥有12名诺贝尔奖获得者,EUV LLC成员
      10 SNL 美国能源部三大国家实验室之一,研发LPP光源,EUV LLC成员
      11 LLNL 美国能源部三大国家实验室之一,最早研究EUV光刻的机构,EUV LLC成员
      12 University at Albany, State University of New York ASML的ADT安装地
      13 SEMATECH 战略联盟,其宗旨是加速美国半导体产业的技术创新向制造方案的商业化转化
      14 Shipley Company LLC 光刻胶
      15 Mentor 电子设计自动化软件等电子设计自动化工具
      16 National Institute of Standards and Technology 计量标准、测量
      17 Lam Research 半导体设备
      18 Rigaku Innovative Technologies 光学镀膜
      19 Zygo Corporation 光学测量
      20 Synopsys 光刻仿真软件
      21 AGC Electronics America 光学材料,掩模基板
      22 University of California, University of Wisconsin System, MIT, University of Arizona, New Jersey City University, University of Texas System, Rochester Institute of Technology, Stanford University, Cornell University 探索性研究
      23 Integris Inc 掩模辅助工具
      24 Nanometrics Inc 晶圆检测
      25 HermesMicrovision 晶圆检测
      26 KLA-Tencor 晶圆检测
      德国 1 Carl Zeiss AG 光学系统
      2 Advanced Mask Technology Center 掩模
      3 Fraunhofer-Gesellschaft 微电子器件
      4 Physikalisch-Technische Bundesanstalt 计量与测试
      5 GermanyoptiX Fab EUV产品初创公司,2012年成立,商品化IOF的
      6 XTREME Technologies GmbH 光源
      7 Forschungzentrum Dresden 光源
      8 BLV Licht-und Vakuumtechnik GmbH 光源
      日本 1 TOSHIBA 微电子产品
      2 Tokyo Electron Limited 涂胶、显影设备
      3 Osaka University 大学
      4 JSR Corporation 光刻胶
      5 JSR MICRO 光刻胶
      6 Toppan 掩模
      7 Hoya Corporation 掩模
      8 EUVL Infrastructure Development Center 专注于EUV光刻的合作研发组织,东芝领军
      荷兰 1 The Netherlands Organization for Applied Scientific Research 国家科研机构
      2 Philips ASML母公司
      3 The Dutch Institute for Fundamental Energy Research 同步辐射源
      4 University of Twente 大学
      5 Leiden University 大学
      6 Technische Universiteit Delft 大学
      7 Eindhoven University of Technology 大学
      8 ASML EUV光刻机供应商
      瑞士 1 Paul Scherrer Institute 光源
      2 Swiss Federal Institute of Technology in Zurich 大学
      3 EULITHA AG 光刻设备及零件
      4 STMicroelectronics 芯片商
      比利时 1 IMEC 比利时微电子研究所,ASML的测试机安装场所
      2 Catholic University of Leuven 大学
      3 ASM International 半导体材料
      法国 1 Sagemcom 电子产品
      2 FranceXenocs 分析测试仪器
      韩国 1 SKhynix 芯片商
      2 Samsung 芯片商
      中国 1 Shanghai IC R&D Center 科研机构
      2 ChangXin Memory Technologies, Inc. 代工厂
      新加坡 1 Chartered Semiconductor 代工厂
      2 National University of Singapore 大学
      巴基
      斯坦
      1 University of Agriculture, Faisalabad 大学
      2 The University of Sindh 大学
      波兰 1 Military University of Technology in Warsaw 光源
      俄罗斯 1 Institute of Laser Physics(ILP) 光源
      英国 1 Oxford Instruments 20世纪90年代与ASML公司合作EUV光刻项目
      捷克 1 Czech Technical University in Prague 光源
      爱尔兰 1 University College Dublin 光源
    • 7家机构历年的论文数量如图 7所示。除了Advanced Lithography会议外,还有大量论文发表在其它论坛或期刊,同时有些机构不愿意发表创新成果,因此这种统计并不完全,但仍能看出一定的趋势。

      图  7  ASML,IMEC,DOE,Nikon,Intel,Samsung及TSMC发文趋势[7]

      Figure 7.  ASML, IMEC, DOE, Nikon, Intel, Samsung, TSMC articles trends[7]

      图 7可见,ASML论文逐年稳步上升,2006年,随着ASML的ADT安装在IMEC,IMEC的论文数从零稳步上升,这表明ADT的安装使用对两者的科学研究均起到了促进作用。Nikon在2007年及以前与ASML文章数目相当,甚至在2002年以前还处于优势,但在2007年以后,Nikon发文数量与ASML差距逐步加大。2002年和2007年这两个时间点分别是EUV LLC的ETS的完成时间及ASML的ADT送到两家科研机构的时间,这间接可以说明,ASML凭借美国的EUV LLC逐渐赶上Nikon,并在5年时间内将Nikon甩在身后。2012年, 3家IC巨头注资ASML联合研发后,Nikon文章数量锐减,表明Nikon已经放弃了这方面的研发。作为世界上最早发表EUV光刻研究成果的研究单位之一,DOE的文章数长期处于第1位,但在2014~2016年,其与ASML和IMEC的位置发生了变化,此时正是NXE3300B和3350B安装的时间,这说明当ASML的EUV光刻机逐渐受到客户认可后,DOE的研究兴趣发生了转移。DOE的三大国家实验室长期以来致力于前沿科学探索,仅LBNL就有12名诺贝尔奖获得者,因此这种兴趣转移符合这些实验室一直以来的工作重点。

      图 7可见,3家芯片制造公司中,Intel的文章数量最多,台积电最少,总体上三者均在2013年后发文锐减,尤其是Intel,此时对应三者投资ASML的时间,这或许说明三者对EUV光刻研究兴趣在投资后发生了转移,主要的研究任务落在了ASML身上。从上述分析可知,各机构发表的文章数在几个时间点发生了转变,这些时间点与EUV光刻发展的重要里程碑吻合:2002年,EUV LLC(包括ASML)完成ETS系统;2006年,ASML将ADT运送至两家科研机构进行工艺验证;2012年,Intel等三大芯片巨头投资ASML;2014~2016年,ASML向台积电运送第3代和第4代光刻机型;2016年后,ASML和IMEC继续联合研发,推进EUV光刻机的实用化。

    • 图 8为合作论文比例。合作比例的计算方式是:本机构作者参与的文章数/有多个机构作者的总文章数。该统计的参考意义在于:如果存在合作论文,说明这些机构间必然进行了知识的深度交流与融合,实际的交流与合作只会比本文中提到的更加广泛。在7家机构中,ASML, IMEC, DOE, Intel和三星的合作文章比例年度最少为30%以上,且随时间没有规律性变化, 这说明在进行EUV光刻研究中,不同国别、不同机构的科研工作者在一个开放的科研环境中开展了大量的合作和交流。Nikon发表的合作文章较少,可能与它基本是独自进行光刻机研发有关。台积电发表文章最少,且均为单独发表,这不能说明它与其他机构没有合作,而可能是它的兴趣不在于发表自己的研究成果。更有趣的是,台积电、Nikon、三星均为东亚地区的机构,他们的合作占比列倒数第1~第3名,其他机构均为欧美国家,这可能与文化差异有关。

      图  8  ASML, IMEC, DOE, Nikon, Intel, 三星和台积电的合作发文比例[7]

      Figure 8.  Percentage of cooperative articles of ASML, IMEC, DOE, Nikon, Intel, Samsung and TSMC[7]

      ASML和IMEC是重要的战略合作伙伴,从图 7中发表文章趋势来看,似乎两者存在绑定关系。为了确认这一点,对这两家的文章进行了分析统计,如表 3所示。1997~2019年,ASML共发表 120篇文章,合作发表 100篇,占论文总数的83%,合作的国家有11个,共57家机构。IMEC共发表 108篇文章,合作发表 89篇,占论文总数的82%,合作的国家有9个,共57家机构。两者各方面数据非常相似,但考察同时包括ASML和IMEC的文章,共有24篇,仅占两者论文总数的20%左右。这说明尽管两者为战略合作伙伴,但双方均存在很大的自由空间,与其他合作者进行了充分的信息交流与技术融合。在单一国家和机构方面,与ASML合作最多的前3个国家为美国、德国和比利时,分别为36篇、36篇和24篇。其中,德国和比利时的合作文章绝大部分由Zeiss和IMEC贡献,分别为31篇和24篇,而美国则是由22家机构瓜分,其中合作文章最多的为格罗方德,共12篇,其余为IBM、AMD、美光科技等机构。Zeiss为ASML提供核心曝光镜头,IMEC和格罗方德则为光刻机进行工艺验证,因此他们的合作论文较多具有合理性。与IMEC合作最多的前3个国家为美国、日本和荷兰,分别为42篇、29篇和26篇。其中,荷兰和日本的合作文章绝大部分由ASML和东京电子贡献,分别为24篇和17篇,而美国则是由23家机构瓜分,其中合作文章最多的是格罗方德,共12篇,其余为Intel、IBM、美光科技等机构。东京电子是世界著名的涂胶/显影设备供应商,它与IMEC密切合作,促进光刻工艺的成熟。可见,无论ASML和IMEC,在单一国家的合作广泛性方面,美国占据明显的整体优势,格罗方德、纽约州立大学奥尔巴尼分校、美光科技、美国国家标准与技术研究院等均与这两家开展了合作研究,其中格罗方德的合作更加深入和广泛。从表 1中还可以找到与ASML在Advanced Lithography上合作发表过论文的机构,他们在光刻胶、分辨率增强技术(光学近邻效应、光曈滤波)、光源、污染控制、光学镀膜、流片研究、工艺验证、性能提升(CDU、位置对准)、光刻仿真和光刻机与掩模优化等方面进行着大量合作。

      表 3  ASML及IMEC论文发表统计(1997~2019年)[7]

      Table 3.  ASML and IMEC publication statistics (1997~2019)[7]

      发表文章总数 合作论文总数 合作论文占比/% 合作国家数 合作机构数 同时包括ASML和IMEC的文章占比 共同的合作国家
      ASML 120 100 83 11 57 24/120 美国、日本、荷兰、德国、
      比利时、瑞士、韩国、英国
      IMEC 108 89 82 9 57 24/108
    • 从1986年发表第1份EUV光刻研究成果以来,EUV光刻发展了36年,经历了初步探索、早期研发、联合研发/供应商技术构建到商业化4个阶段。EUV光刻从初始阶段就在全球范围内开展研究,且研究内容具有极强的探索性,诸多世界顶尖机构如Intel、Bell实验室、NTT、DOE国家实验室、Zeiss等为此做出了重要贡献。EUV光刻技术难度之高、牵涉范围之广,已远远超出独立机构所能解决的范围,因此大量联合研发项目或机构此起彼伏,比较著名的有EUV LLC、SEMATECH、EUCLIDES、ASET等。这种科研团队和产业机构的紧密合作是由EUV光刻各部分之间的内在联系决定的。EUV光刻机是集成电路产线上的一台曝光工具,它具有以下特征:(1)它是一台集诸多尖端创新技术于一身的精密仪器;(2)机器内子系统、零部件之间,机器与产线上其它工艺步骤之间具有强烈耦合关系。光刻性能是光刻机内子系统、零部件和光刻工艺相互配合、共同作用的综合结果。ASML从EUV光刻研发之初就走国际合作路线,与EUV LLC、Zeiss、IMEC、台积电等世界著名机构紧密合作,且进行6次并购,最终成为世界上唯一能够生产EUV光刻机的厂家。日本借助本土产业链齐全的优势,初期主要进行本土联合研发,后期逐渐过渡到国际合作,日本在掩模、涂胶显影、光刻胶和缺陷检测方面进行了长时间的持续研究,因此日本在这些方面占有优势。

      科技论文是研究成果的体现,合作论文体现了合作者之间深层次的技术交流与融合。本文作者以EUV光刻中的代表性机构ASML、DOE、IMEC、Nikon、Intel、三星和台积电为对象,分析了他们的论文发表情况。

      科技论文的文章数走向可以作为EUV光刻发展的重要趋势指标。2002年前,Nikon的文章数比ASML多,此时Nikon的技术实力优于ASML;2003年,EUV LLC(包括ASML)完成ETS系统,ASML的技术能力开始与Nikon抗衡,文章数开始与Nikon持平,这种趋势一直保持到2007年;2006年,ASML将ADT运送至IMEC和Albany Nanotehc进行工艺验证,此后Nikon的文章数逐年减少,ASML的文章数逐年上升,这时Nikon的EUV光刻水平开始弱于ASML;2012年,Intel等三大芯片巨头投资ASML,Nikon几乎放弃EUV光刻机,文章数锐减。

      2013年前,DOE文章数长期位于第1名,这与它当时在EUV光刻方面的先驱地位吻合;直到2013~2016年,ASML向台积电运送第3代和第4代光刻机型,DOE的文章数开始与ASML的文章数相当,表明此时两者的科学研究能力相当;2016年后,EUV光刻机逐渐受到认可,ASML的文章数开始超越DOE,DOE的文章数逐渐减少,此时产品已逐渐商用化,科学研究价值逐渐减弱,因此DOE的研究兴趣也逐渐转移;2016年后,ASML和IMEC继续联合研发,推进EUV光刻机的实用化,此时两家机构的文章数逐年上升,且具有正相关性,表明此时两者已超越其他科研机构,成为EUV光刻研究的主要推动者。

      1997~2019年,ASML在Advanced Lithography会议上共发表EUV光刻方面的论文120篇,合作论文多达100篇,占比83%,与11个国家、共53家机构发表过合作论文。与ASML合作最多的前3个国家为美国、德国和比利时。其中,德国和比利时的合作文章绝大部分由Zeiss和IMEC贡献,而美国则是由22家机构瓜分,这代表美国在EUV光刻相关方面的整体实力。IMEC、DOE和Intel情况类似。对于3个亚洲国家和地区的研发机构,三星合作论文最多,Nikon第二,台积电几乎不发表文章,但总体上,这3家机构在该会议上发表的文章均比欧美国家少,这可能与语言文化差异、对该会议的认可度和交通方便性等有关。研究也表明,尽管ASML和IMEC为紧密合作的伙伴,但两者并未相互绑定,共同文章仅占各自文章的20%左右。

      特别感谢余江研究员的研究生王腾对参考文献的整理工作,感谢安徽大学的陈文杰教授对论文的润色工作。

参考文献 (35)

目录

    /

    返回文章
    返回